Bug 46686 - Code formatter doesn't indent properly statements following a switch statement
Summary: Code formatter doesn't indent properly statements following a switch statement
Status: VERIFIED FIXED
Alias: None
Product: JDT
Classification: Eclipse Project
Component: Core (show other bugs)
Version: 3.0   Edit
Hardware: PC Windows 2000
: P3 normal (vote)
Target Milestone: 3.0 M5   Edit
Assignee: Olivier Thomann CLA
QA Contact:
URL:
Whiteboard:
Keywords:
Depends on:
Blocks:
 
Reported: 2003-11-14 13:04 EST by Olivier Thomann CLA
Modified: 2003-11-20 12:39 EST (History)
0 users

See Also:


Attachments

Note You need to log in before you can comment on or make changes to this bug.
Description Olivier Thomann CLA 2003-11-14 13:04:15 EST
Using latest, when a switch statement ends with a statement, not a case, then
the closing brace of the switch is not properly indented and all subsequent
statements are wrongly indented by one.
You need to set the indentation of switch statements compare to cases to true.
Comment 1 Olivier Thomann CLA 2003-11-14 13:06:10 EST
Fixed and released in HEAD.
Regression tests added.
Comment 2 David Audel CLA 2003-11-20 12:39:38 EST
Verified.